電路設(shè)計(jì)流程范文
時(shí)間:2023-10-10 17:26:25
導(dǎo)語:如何才能寫好一篇電路設(shè)計(jì)流程,這就需要搜集整理更多的資料和文獻(xiàn),歡迎閱讀由公文云整理的十篇范文,供你借鑒。

篇1
關(guān)鍵詞:模擬 集成電路 設(shè)計(jì) 自動(dòng)化綜合流程
中圖分類號(hào):TN431 文獻(xiàn)標(biāo)識(shí)碼:A 文章編號(hào):1672-3791(2013)03(a)-0062-02
隨著超大規(guī)模集成電路設(shè)計(jì)技術(shù)及微電子技術(shù)的迅速發(fā)展,集成電路系統(tǒng)的規(guī)模越來越大。根據(jù)美國半導(dǎo)體工業(yè)協(xié)會(huì)(SIA)的預(yù)測,到2005年,微電子工藝將完全有能力生產(chǎn)工作頻率為3.S GHz,晶體管數(shù)目達(dá)1.4億的系統(tǒng)芯片。到2014年芯片將達(dá)到13.5 GHz的工作頻率和43億個(gè)晶體管的規(guī)模。集成電路在先后經(jīng)歷了小規(guī)模、中規(guī)模、大規(guī)模、甚大規(guī)模等歷程之后,ASIC已向系統(tǒng)集成的方向發(fā)展,這類系統(tǒng)在單一芯片上集成了數(shù)字電路和模擬電路,其設(shè)計(jì)是一項(xiàng)非常復(fù)雜、繁重的工作,需要使用計(jì)算機(jī)輔助設(shè)計(jì)(CAD)工具以縮短設(shè)計(jì)時(shí)間,降低設(shè)計(jì)成本。
目前集成電路自動(dòng)化設(shè)計(jì)的研究和開發(fā)工作主要集中在數(shù)字電路領(lǐng)域,產(chǎn)生了一些優(yōu)秀的數(shù)字集成電路高級(jí)綜合系統(tǒng),有相當(dāng)成熟的電子設(shè)計(jì)自動(dòng)化(EDA)軟件工具來完成高層次綜合到低層次版圖布局布線,出現(xiàn)了SYNOPSYS、CADENCE、MENTOR等國際上著名的EDA公司。相反,模擬集成電路自動(dòng)化設(shè)計(jì)方法的研究遠(yuǎn)沒有數(shù)字集成電路自動(dòng)化設(shè)計(jì)技術(shù)成熟,模擬集成電路CAD發(fā)展還處于相當(dāng)滯后的水平,而且離實(shí)用還比較遙遠(yuǎn)。目前絕大部分的模擬集成電路是由模擬集成電路設(shè)計(jì)專家手工設(shè)計(jì)完成,即采用簡化的電路模型,使用仿真器對(duì)電路進(jìn)行反復(fù)模擬和修正,并手工繪制其物理版圖。傳統(tǒng)手工設(shè)計(jì)方式效率極低,無法適應(yīng)微電子工業(yè)的迅速發(fā)展。由于受數(shù)/?;旌霞哨厔莸耐苿?dòng),模擬集成電路自動(dòng)化設(shè)計(jì)方法的研究正逐漸興起,成為集成電路設(shè)計(jì)領(lǐng)域的一個(gè)重要課題。工業(yè)界急需有效的模擬集成電路和數(shù)?;旌想娐吩O(shè)計(jì)的CAD工具,落后的模擬集成電路自動(dòng)化設(shè)計(jì)方法和模擬CAD工具的缺乏已成為制約未來集成電路工業(yè)發(fā)展的瓶頸。
1 模擬集成電路的設(shè)計(jì)特征
為了縮短設(shè)計(jì)時(shí)間,模擬電路的設(shè)計(jì)有人提出仿效數(shù)字集成電路標(biāo)準(zhǔn)單元庫的思想,建立一個(gè)模擬標(biāo)準(zhǔn)單元庫,但是最終是行不通的。模擬集成電路設(shè)計(jì)比數(shù)字集成電路設(shè)計(jì)要復(fù)雜的得多,模擬集成電路設(shè)計(jì)主要特征如下。
(1)性能及結(jié)構(gòu)的抽象表述困難。數(shù)字集成電路只需處理僅有0和1邏輯變量,可以很方便地抽象出不同類型的邏輯單元,并可將這些單元用于不同層次的電路設(shè)計(jì)。數(shù)字集成電路設(shè)計(jì)可以劃分為六個(gè)層次:系統(tǒng)級(jí)、芯片級(jí)(算法級(jí)),RTL級(jí)、門級(jí)、電路級(jí)和版圖級(jí),電路這種抽象極大地促進(jìn)了數(shù)字集成電路的設(shè)計(jì)過程,而模擬集成電路很難做出這類抽象。模擬集成電路的性能及結(jié)構(gòu)的抽象表述相對(duì)困難是目前模擬電路自動(dòng)化工具發(fā)展相對(duì)緩慢,缺乏高層次綜合的一個(gè)重要原因。
(2)對(duì)干擾十分敏感。模擬信號(hào)處理過程中要求速度和精度的同時(shí),模擬電路對(duì)器件的失配效應(yīng)、信號(hào)的耦合效應(yīng)、噪聲和版圖寄生干擾比數(shù)字集成電路要敏感得多。設(shè)計(jì)過程中必須充分考慮偏置條件、溫度、工藝漲落及寄生參數(shù)對(duì)電路特性能影響,否則這些因素的存在將降低模擬電路性能,甚至?xí)淖冸娐饭δ堋Ec數(shù)字集成電路的版圖設(shè)計(jì)不同,模擬集成電路的版圖設(shè)計(jì)將不僅是關(guān)心如何獲得最小的芯片面積,還必須精心設(shè)計(jì)匹配器件的對(duì)稱性、細(xì)心處理連線所產(chǎn)生的各種寄生效應(yīng)。在系統(tǒng)集成芯片中,公共的電源線、芯片的襯底、數(shù)字部分的開關(guān)切換將會(huì)使電源信號(hào)出現(xiàn)毛刺并影響模擬電路的工作,同時(shí)通過襯底禍合作用波及到模擬部分,從而降低模擬電路性能指標(biāo)。
(3)性能指標(biāo)繁雜。描述模擬集成電路行為的性能指標(biāo)非常多,以運(yùn)算放大器為例,其性能指標(biāo)包括功耗、低頻增益、擺率、帶寬、單位增益頻率、相位余度、輸入輸出阻抗、輸入輸出范圍、共模信號(hào)輸入范圍、建立時(shí)間、電源電壓抑制比、失調(diào)電壓、噪聲、諧波失真等數(shù)十項(xiàng),而且很難給出其完整的性能指標(biāo)。在給定的一組性能指標(biāo)的條件下,通??赡苡卸鄠€(gè)模擬電路符合性能要求,但對(duì)其每一項(xiàng)符合指標(biāo)的電路而言,它們僅僅是在一定的范圍內(nèi)對(duì)個(gè)別的指標(biāo)而言是最佳的,沒有任何電路對(duì)所有指標(biāo)在所有范圍內(nèi)是最佳的。
(4)建模和仿真困難。盡管模擬集成電路設(shè)計(jì)已經(jīng)有了巨大的發(fā)展,但是模擬集成電路的建模和仿真仍然存在難題,這迫使設(shè)計(jì)者利用經(jīng)驗(yàn)和直覺來分析仿真結(jié)果。模擬集成電路的設(shè)計(jì)必須充分考慮工藝水平,需要非常精確的器件模型。器件的建模和仿真過程是一個(gè)復(fù)雜的工作,只有電路知識(shí)廣博和實(shí)踐經(jīng)驗(yàn)豐富的專家才能勝任這一工作。目前的模擬系統(tǒng)驗(yàn)證的主要工具是SPICE及基于SPICE的模擬器,缺乏具有高層次抽象能力的設(shè)計(jì)工具。模擬和數(shù)?;旌闲盘?hào)電路與系統(tǒng)的建模和仿真是急需解決的問題,也是EDA研究的重點(diǎn)。VHDL-AMS已被IEEE定為標(biāo)準(zhǔn)語言,其去除了現(xiàn)有許多工具內(nèi)建模型的限制,為模擬集成電路開拓了新的建模和仿真領(lǐng)域。
(5)拓?fù)浣Y(jié)構(gòu)層出不窮。邏輯門單元可以組成任何的數(shù)字電路,這些單元的功能單一,結(jié)構(gòu)規(guī)范。模擬電路的則不是這樣,沒有規(guī)范的模擬單元可以重復(fù)使用。
2 模擬IC的自動(dòng)化綜合流程
模擬集成電路自動(dòng)綜合是指根據(jù)電路的性能指標(biāo),利用計(jì)算機(jī)實(shí)現(xiàn)從系統(tǒng)行為級(jí)描述到生成物理版圖的設(shè)計(jì)過程。在模擬集成電路自動(dòng)綜合領(lǐng)域,從理論上講,從行為級(jí)、結(jié)構(gòu)級(jí)、功能級(jí)直至完成版圖級(jí)的層次的設(shè)計(jì)思想是模擬集成電路的設(shè)計(jì)中展現(xiàn)出最好的前景。將由模擬集成電路自動(dòng)化綜合過程分為兩個(gè)過程。
模擬集成電路的高層綜合、物理綜合。在高層綜合中又可分為結(jié)構(gòu)綜合和電路級(jí)綜合。由系統(tǒng)的數(shù)學(xué)或算法行為描述到生成抽象電路拓?fù)浣Y(jié)構(gòu)過程稱為結(jié)構(gòu)級(jí)綜合,將確定電路具體的拓?fù)浣Y(jié)構(gòu)和確定器件尺寸的參數(shù)優(yōu)化過程稱為電路級(jí)綜合。而把器件尺寸優(yōu)化后的電路圖映射成與工藝相關(guān)和設(shè)計(jì)規(guī)則正確的版圖過程稱為物理綜合。模擬集成電路自動(dòng)化設(shè)計(jì)流程如圖1所示。
2.1 模擬集成電路高層綜合
與傳統(tǒng)手工設(shè)計(jì)模擬電路采用自下而上(Bottom-up)設(shè)計(jì)方法不同,模擬集成電路CAD平臺(tái)努力面向從行為級(jí)、結(jié)構(gòu)級(jí)、功能級(jí)、電路級(jí)、器件級(jí)和版圖級(jí)的(Top-down)的設(shè)計(jì)方法。在模擬電路的高層綜合中,首先將用戶要求的電路功能、性能指標(biāo)、工藝條件和版圖約束條件等用數(shù)學(xué)或算法行為級(jí)的語言描述。目前應(yīng)用的SPICE、MAST、SpectreHDL或者不支持行為級(jí)建模,或者是專利語言,所建模型與模擬環(huán)境緊密結(jié)合,通用性差,沒有被廣泛接受。IEEE于1999年3月正式公布了工業(yè)標(biāo)準(zhǔn)的數(shù)/模硬件描述語言VHDL-AMS。VHDL-1076.1標(biāo)準(zhǔn)的出現(xiàn)為模擬電路和混合信號(hào)設(shè)計(jì)的高層綜合提供了基礎(chǔ)和可能。VHDL一AMS是VHDL語言的擴(kuò)展,重點(diǎn)在模擬電路和混合信號(hào)的行為級(jí)描述,最終實(shí)現(xiàn)模擬信號(hào)和數(shù)?;旌闲盘?hào)的結(jié)構(gòu)級(jí)描述、仿真和綜合125,28]。為實(shí)現(xiàn)高層次的混合信號(hào)模擬,采用的辦法是對(duì)現(xiàn)有數(shù)字HDL的擴(kuò)展或創(chuàng)立新的語言,除VHDL.AMS以外,其它幾種模擬及數(shù)/?;旌闲盘?hào)硬件描述語言的標(biāo)準(zhǔn)還有MHDL和Verilog-AMS。
2.2 物理版圖綜合
高層綜合之后進(jìn)入物理版圖綜合階段。物理綜合的任務(wù)是從具有器件尺寸的電路原理圖得到與工藝條件有關(guān)和設(shè)計(jì)規(guī)則正確的物理版圖。由于模擬電路的功能和性能指標(biāo)強(qiáng)烈地依賴于電路中每一個(gè)元件參數(shù),版圖寄生參數(shù)的存在將使元件參數(shù)偏離其設(shè)計(jì)值,從而影響電路的性能。需要考慮電路的二次效應(yīng)對(duì)電路性能的影響,對(duì)版圖進(jìn)行評(píng)估以保證寄生參數(shù)、器件失配效應(yīng)和信號(hào)間的禍合效應(yīng)對(duì)電路特性能影響在允許的范圍內(nèi)?;趦?yōu)化的物理版圖綜合在系統(tǒng)實(shí)現(xiàn)時(shí)采用代價(jià)函數(shù)表示設(shè)計(jì)知識(shí)和各種約束條件,對(duì)制造成本和合格率進(jìn)行評(píng)估,使用模擬退火法來獲取最佳的物理版圖?;谝?guī)則的物理版圖綜合系統(tǒng)將模擬電路設(shè)計(jì)專家的設(shè)計(jì)經(jīng)驗(yàn)抽象為一組規(guī)則,并用這些規(guī)則來指導(dǎo)版圖的布線布局。在集成電路物理綜合過程中,在保證電路性能的前提下,盡量降低芯片面積和功耗是必要的。同時(shí)應(yīng)當(dāng)在電路級(jí)綜合進(jìn)行拓?fù)溥x擇和優(yōu)化器件尺寸階段對(duì)電路中各器件之間的匹配關(guān)系應(yīng)用明確的要求,以此在一定的拓?fù)浼s束條件下來指導(dǎo)模擬集成電路的版圖綜合。
模擬電路設(shè)計(jì)被認(rèn)為是一項(xiàng)知識(shí)面廣,需多階段和重復(fù)多次設(shè)計(jì),常常要求較長時(shí)間,而且設(shè)計(jì)要運(yùn)用很多的技術(shù)。在模擬電路自動(dòng)綜合設(shè)計(jì)中,從行為描述到最終的版圖過程中,還需要用專門的CAD工具從電路版圖的幾何描述中提取電路信息過程。除電路的固有器件外,提取還包括由版圖和芯片上互相連接所造成的寄生參數(shù)和電阻。附加的寄生成分將導(dǎo)致電路特性惡化,通常會(huì)帶來不期望的狀態(tài)轉(zhuǎn)變,導(dǎo)致工作頻率范圍的縮減和速度性能的降低。因此投片制造前必須經(jīng)過電路性能驗(yàn)證,即后模擬階段,以保證電路的設(shè)計(jì)符合用戶的性能要求。正式投片前還要進(jìn)行測試和SPICE模擬,確定最終的設(shè)計(jì)是否滿足用戶期望的性能要求。高層綜合和物理綜合從不同角度闡述了模擬集成電路綜合的設(shè)計(jì)任務(wù)。電路的拓?fù)溥x擇和幾何尺寸可以看成電路的產(chǎn)生方面,物理版圖綜合得到模擬集成電路的電路版圖,可以認(rèn)為電路的幾何設(shè)計(jì)方面。
參考文獻(xiàn)
篇2
關(guān)鍵詞:無刷直流電動(dòng)機(jī) 反電勢 DSP
中圖分類號(hào):TM33 文獻(xiàn)標(biāo)識(shí)碼:A 文章編號(hào):1007-9416(2014)05-0177-02
隨著微機(jī)控制技術(shù)的發(fā)展,特別是以單片微機(jī)及數(shù)字信號(hào)處理器DSP為控制核心的微機(jī)控制技術(shù)的迅速發(fā)展,現(xiàn)代調(diào)速系統(tǒng)已進(jìn)入到全數(shù)字化的調(diào)速系統(tǒng)時(shí)代。根據(jù)調(diào)速系統(tǒng)方案在MPLAB系統(tǒng)開發(fā)平臺(tái)上運(yùn)用匯編語言對(duì)電機(jī)進(jìn)行反電動(dòng)勢過零檢測法編程、調(diào)試,實(shí)現(xiàn)無刷直流電動(dòng)機(jī)的數(shù)字控制。
1 關(guān)于電機(jī)參數(shù)的計(jì)算
1.1 調(diào)節(jié)計(jì)算
由于驅(qū)動(dòng)系統(tǒng)在采集低速下感應(yīng)電動(dòng)勢困難,電動(dòng)機(jī)在低于800轉(zhuǎn)/分時(shí)相電壓難以測量,運(yùn)行很不穩(wěn)定,通過試驗(yàn)把最低轉(zhuǎn)速定為800轉(zhuǎn)/分。
電動(dòng)機(jī)為5對(duì)磁極,電角度每轉(zhuǎn)一周,即機(jī)械角度每轉(zhuǎn)72度進(jìn)行一次速度計(jì)算更新,所以速度調(diào)節(jié)周期要略大于速度計(jì)算周期,爭取達(dá)到速度計(jì)算更新與速度調(diào)節(jié)同步。本試驗(yàn)設(shè)置速度調(diào)節(jié)周期為15ms。電流比例調(diào)節(jié)為每0.0001s一次,與電機(jī)頻率相同。
1.2 感應(yīng)電動(dòng)勢的計(jì)算
每次PWM時(shí)基中斷以后對(duì)參考電流與三相電壓采樣一次,通過A/D轉(zhuǎn)換轉(zhuǎn)變成數(shù)字。由于在過零點(diǎn)的左右兩側(cè)符號(hào)不同,可以直接檢測不通電相與三相電壓和的差值,如果符號(hào)變化則說明經(jīng)過了過零點(diǎn)。這樣我們可以直接用3倍的感應(yīng)電動(dòng)勢減去三相電壓和。
1.3 濾除換相干擾
換相瞬間會(huì)產(chǎn)生電磁干擾,此時(shí)檢測相電壓會(huì)產(chǎn)生較大誤差。又因?yàn)閾Q相后感應(yīng)電動(dòng)勢不會(huì)立即進(jìn)入過零點(diǎn),所以一般會(huì)延遲1-2個(gè)PWM周期,延遲后再進(jìn)行過零檢測。
由于剛換流時(shí)B相繞組反電勢為負(fù)值,因此繞組中性點(diǎn)相對(duì)于負(fù)極N的電壓超過直流母線電壓的2/3,比換流前的/2要增大很多,B相繞組電流會(huì)隨著自身反電勢減小和相電壓增大而加快衰減,特別是轉(zhuǎn)速較高時(shí)B相繞組電流的衰減將會(huì)非常迅速。C相繞組具有較大的反相電壓,因此繞組電流會(huì)增長很快,但增大的速度會(huì)隨著B相繞組反電勢的減小而減小。A相繞組相電壓首先因中性點(diǎn)電壓上升而減小,相電流也會(huì)因此減小。但隨著B相繞組反電勢減小,A相相電壓又不斷回升,A相繞組電流減小得到抑制并又回升,等到B相電流衰減到0時(shí),B相繞組沒有電流續(xù)流二極管關(guān)斷,中性點(diǎn)電壓恢復(fù)到/2的水平,并且A相和C相繞組電流大小相等,這時(shí)控制又進(jìn)入兩相斬波導(dǎo)通狀態(tài)[1]。
1.4 換相時(shí)刻計(jì)算及其補(bǔ)償
延遲時(shí)間的估算:通過電角度轉(zhuǎn)過一周所用的PWM周期數(shù)除以12得到轉(zhuǎn)過電角度所用的平均時(shí)間,以這個(gè)時(shí)間作為下一轉(zhuǎn)六個(gè)過零點(diǎn)與相應(yīng)的換相點(diǎn)之間的延遲時(shí)間。
反電勢法必然存在轉(zhuǎn)子位置檢測誤差Δθ,并最終影響無刷電機(jī)換流角(用γ1表示)。而且,由于相電壓中往往含有大量干擾信號(hào),影響電壓比較器的正常工作,因此需要用無源濾波器對(duì)相電壓或端電壓信號(hào)進(jìn)行深度濾波。隨著電機(jī)工作頻率的變化,濾波器的相移也會(huì)變化并影響無刷電機(jī)換流角,用γ2表示。則總的換流角γ=γ1+γ2。通常γ為負(fù)值,表示超前換流[2]。
在本試驗(yàn)中,通過示波器觀測并與一些經(jīng)驗(yàn)補(bǔ)償角度對(duì)照,得出以下補(bǔ)償角度的表格[3]。
1.5 電動(dòng)機(jī)的啟動(dòng)
本試驗(yàn)采用預(yù)定位啟動(dòng)。
啟動(dòng)時(shí)先對(duì)任意兩相通電,使起轉(zhuǎn)到換相臨界點(diǎn),通過延遲1s等待電動(dòng)機(jī)停止震蕩。延遲后立即換相,使電動(dòng)機(jī)轉(zhuǎn)動(dòng)。
根據(jù)動(dòng)力學(xué)方程
解得電動(dòng)機(jī)轉(zhuǎn)一轉(zhuǎn)所需的時(shí)間為:
這里延遲時(shí)間的初值設(shè)定為4.8ms。
由于無刷直流電動(dòng)機(jī)在啟動(dòng)時(shí)電流大約是額定電流的4.5倍,所以應(yīng)避免啟動(dòng)電流過大,如要限制啟動(dòng)電流大小,本試驗(yàn)采用改變端電壓大小的軟件方法限定占空比,使啟動(dòng)電流不超過預(yù)先設(shè)定值。假設(shè)直流母線電壓作用于定子電樞繞組的時(shí)間為兩相功率管導(dǎo)通時(shí)間,那么在忽略電樞電阻壓降情況下直流母線電壓主要作用于電樞電感,其公式為:
因此,如果限制定子電樞電流最大值等于允許采樣電流最大值(小于啟動(dòng)電流值),那么可以由式(3)得到電機(jī)啟動(dòng)時(shí)直流母線電壓占空比最大值。
根據(jù)式(4)和PWM開關(guān)周期可以確定電機(jī)零速啟動(dòng)時(shí)最大占空比。
這里本試驗(yàn)初始啟動(dòng)限制占空比設(shè)定為29.89%。
2 程序框圖
主程序如(圖1)所示。
3 結(jié)語
探討了反電動(dòng)勢過零檢測法補(bǔ)償,通過試驗(yàn)觀測波形針對(duì)補(bǔ)償,提高了換相點(diǎn)精度。根據(jù)反電動(dòng)勢過零檢測法原理,利用軟件測量法替代了硬件比較電路,節(jié)約了成本,提高了系統(tǒng)的可靠性。
參考文獻(xiàn)
[1]謝寶昌,任永德.電動(dòng)機(jī)的DSP控制技術(shù)及其應(yīng)用.北京:北京航空航天大學(xué)出版社,2005
篇3
【關(guān)鍵詞】EDA技術(shù);QuartusⅡ;電子設(shè)計(jì);VHDL
1.引言
集成電路設(shè)計(jì)不斷向超大規(guī)模、低功率、超高速方向發(fā)展,其核心技術(shù)是基于EDA技術(shù)的現(xiàn)代電子設(shè)計(jì)技術(shù)。EDA(Electronic Design Automation,電子設(shè)計(jì)自動(dòng)化)技術(shù),以集成電路設(shè)計(jì)為目標(biāo),以可編程邏輯器件(如CPLD、FPGA)為載體,以硬件描述語言(VHDL、VerilogHDL)為設(shè)計(jì)語言,以EDA軟件工具為開發(fā)環(huán)境,利用強(qiáng)大計(jì)算機(jī)技術(shù)來輔助人們自動(dòng)完成邏輯化和仿真測試,直到既定的電子產(chǎn)品的設(shè)計(jì)完成。其融合了,大規(guī)模集成電路制造技術(shù)、計(jì)算機(jī)技術(shù)、智能化技術(shù),可以進(jìn)行電子電路設(shè)計(jì)、仿真,PCB設(shè)計(jì),CPLD/FPGA設(shè)計(jì)等。簡言之,EDA技術(shù)可概括為在開發(fā)軟件(本文用QuartusⅡ)環(huán)境里,用硬件描述語言對(duì)電路進(jìn)行描述,然后經(jīng)過編譯、仿真、修改環(huán)節(jié)后,最終下載到設(shè)計(jì)載體(CPLD、FPGA)中,從而完成電路設(shè)計(jì)的新技術(shù)。
以EDA技術(shù)為核心的現(xiàn)代電子設(shè)計(jì)方法和傳統(tǒng)的電子設(shè)計(jì)方法相比有很大的優(yōu)點(diǎn),兩種設(shè)計(jì)方法的流程如下圖:
圖1 傳統(tǒng)電子設(shè)計(jì)流程圖
圖2 基于EDA的現(xiàn)代電子設(shè)計(jì)流程圖
比較兩種設(shè)計(jì)方法,基于EDA技術(shù)的現(xiàn)在電子設(shè)計(jì)方法采用自上而下的設(shè)計(jì)方法,系統(tǒng)設(shè)計(jì)的早期便可進(jìn)行逐層仿真和修改,借助計(jì)算機(jī)平臺(tái),降低了電路設(shè)計(jì)和測試的難度,極大程度地縮短了電子產(chǎn)品的設(shè)計(jì)周期、節(jié)約了電子產(chǎn)品的設(shè)計(jì)成本。DEA技術(shù)極大的促進(jìn)了現(xiàn)代電子技術(shù)的發(fā)展,已成為現(xiàn)代電子技術(shù)的核心。
2.QuartusⅡ軟件開發(fā)環(huán)境介紹
QuartusⅡ軟件是Alter公司開發(fā)的綜合性EDA工具軟件,提供了強(qiáng)大的電子設(shè)計(jì)功能,充分發(fā)揮了FPGA、CPLD和結(jié)構(gòu)化ASIC的效率和性能,包含自有的綜合器及仿真器,支持原理圖、VHDL、VerilogHDL等多種設(shè)計(jì)輸入,把設(shè)計(jì)、布局布線和驗(yàn)證功能以及第三方EDA工具無縫的集成在一起。QuartusⅡ與Alter公司的上一代設(shè)計(jì)工具M(jìn)AX+plusⅡ具有一定的相似性,和繼承性。使熟悉MAX+plusⅡ開發(fā)環(huán)境的設(shè)計(jì)人員可以快速熟練應(yīng)用。相比之下,QuartusⅡ軟件功能更為強(qiáng)大、設(shè)計(jì)電路更為便捷,支持的器件更多。增強(qiáng)了自動(dòng)化程度,縮短了編譯時(shí)間,提升了調(diào)試效率。從而縮短了電子產(chǎn)品的設(shè)計(jì)周期。利用QuartusⅡ軟件進(jìn)行電子電路設(shè)計(jì)流程如圖3所示。
圖3 QuartusⅡ設(shè)計(jì)流程圖
3.在QuartusⅡ環(huán)境下的EDA方法設(shè)計(jì)實(shí)例
下面本文在QuartusⅡ環(huán)境下,以下降沿D觸發(fā)器的設(shè)計(jì)為例來說明基于EDA技術(shù)的現(xiàn)代電子設(shè)計(jì)方法(本文以QuartusⅡ9.0為例)。
3.1 在計(jì)算機(jī)上安裝QuartusⅡ9.0版本軟件
QuartusⅡ9.0對(duì)計(jì)算機(jī)硬件配置要求不高,現(xiàn)階段的主流配置完全可以滿足其要求。QuartusⅡ9.0安裝過程很簡單,按照提示操作即可。
3.2 D觸發(fā)器功能分析
從D觸發(fā)器真值表可以看出,當(dāng)時(shí)鐘信號(hào)clk不論是高電平還是低電平,其輸出q的狀態(tài)都保持不變,當(dāng)時(shí)鐘信號(hào)clk由高電平變?yōu)榈碗娖綍r(shí),輸出信號(hào)q和輸入信號(hào)d的狀態(tài)相同。
表1 D觸發(fā)器真值表
輸入d 時(shí)鐘clk 輸出q
× 0 不變
× 1 不變
0 下降沿 0
1 下降沿 1
3.3 D觸發(fā)器的VHDL描述設(shè)計(jì)
下面給出D觸發(fā)器的VHDL描述:
library ieee;
use ieee.std_logic_1164.all;
entity dff1 is
port(d,clk:in std_logic;
q:out std_logic);
end dff1;
architecture bhv of dff1 is
begin
process(clk)
begin
if clk='1' then
q<=d;
end if;
end process;
end bhv;
上面程序在QuartusⅡ9.0環(huán)境下,經(jīng)保存后進(jìn)行編譯,然后可進(jìn)行波形仿真。
3.4 設(shè)計(jì)仿真
VHDL描述程序編譯后,建立矢量波形文件,之后可以進(jìn)行波形仿真,得到如下波形仿真圖(如圖4所示):
圖4 D觸發(fā)器仿真波形圖
此仿真波形符合D觸發(fā)器真值表,說明電路設(shè)計(jì)正確。如果波形仿真不符合真值表,說明電路設(shè)計(jì)有問題,此時(shí)可以回到3.3步驟修改VHDL描述程序,直至仿真結(jié)果正確為止。
波形仿真正確后,可得出相應(yīng)的邏輯電路圖,D觸發(fā)器電路圖(如圖5所示)如下:
圖5 D觸發(fā)器邏輯電路圖
3.5 配置下載測試
整個(gè)電路設(shè)計(jì)、編譯仿真無誤后,按照FPGA開發(fā)板說明書進(jìn)行引腳鎖定,重新進(jìn)行編譯后,然后通過下載電纜線,將產(chǎn)生的sof文件下載至FPGA中,對(duì)電路進(jìn)行測試、驗(yàn)證,完成電路的最終設(shè)計(jì)。
4.結(jié)束語
本文以QuartusⅡ開發(fā)環(huán)境下的實(shí)際電路設(shè)計(jì)為例,介紹了基于EDA技術(shù)的現(xiàn)代電子設(shè)計(jì)方法。通過設(shè)計(jì)過程可知,DEA技術(shù)在現(xiàn)代電子電路設(shè)計(jì)中的重要性。在電子技術(shù)飛速發(fā)展的信息時(shí)代,EDA技術(shù)也在不斷發(fā)展。電子產(chǎn)品設(shè)計(jì)者有必要熟練掌握硬件描述語言、可編程邏輯器件以及各種主流軟件開發(fā)環(huán)境,這樣才可以在最短的時(shí)間內(nèi)完成高質(zhì)量的電子產(chǎn)品設(shè)計(jì)任務(wù)。
參考文獻(xiàn)
[1]閻石.數(shù)字電子技術(shù)基礎(chǔ)[M].北京:高等教育出版社(第五版),2006.
[2]劉江海.EDA技術(shù)[M].武漢:華中科技大學(xué)出版社,2009.
篇4
>> “射頻集成電路設(shè)計(jì)”課程教學(xué)改革初探 應(yīng)用于相控陣收發(fā)組件的射頻微波集成電路設(shè)計(jì)探討 納米尺度互連線寄生參數(shù)的仿真及應(yīng)用于CMOS射頻集成電路設(shè)計(jì) 模擬集成電路設(shè)計(jì)教學(xué)探討 《集成電路設(shè)計(jì)》課程教學(xué)改革與探索 集成電路設(shè)計(jì)本科教學(xué)改革探索 集成電路設(shè)計(jì)與集成系統(tǒng)專業(yè)人才培養(yǎng)模式的探究 集成電路設(shè)計(jì)與集成系統(tǒng)專業(yè)CDIO培養(yǎng)模式的研究與實(shí)踐 集成電路設(shè)計(jì)專業(yè)課程體系改革與實(shí)踐 《數(shù)字集成電路設(shè)計(jì)原理》課程教學(xué)探索 集成電路設(shè)計(jì)作為專業(yè)核心課程設(shè)置的探討 集成電路設(shè)計(jì)方法及IP設(shè)計(jì)技術(shù)的探討 集成電路設(shè)計(jì)的本科教學(xué)現(xiàn)狀及探索 模擬集成電路設(shè)計(jì)教學(xué)方法探討 《專用集成電路設(shè)計(jì)》教學(xué)方法初探 結(jié)合集成電路設(shè)計(jì)大賽談創(chuàng)新能力的培養(yǎng) 同步數(shù)字集成電路設(shè)計(jì)中的時(shí)鐘偏移分析 《2012中國集成電路設(shè)計(jì)業(yè)發(fā)展報(bào)告》的統(tǒng)計(jì)及結(jié)論 模擬集成電路設(shè)計(jì)的自動(dòng)化綜合流程研究 以工程需求為導(dǎo)向的集成電路設(shè)計(jì)閉環(huán)教育研究 常見問題解答 當(dāng)前所在位置:l.
[3]http://.cn/Info/html/n14730_1.htm.
[4]http:///info/20121026/227691.shtml.
[5]馮衛(wèi)東.美科學(xué)家證實(shí)電路世界第四種基本元件存在[N/OL].科技日報(bào),2008-05-06.
[6]李九生.“微波與射頻技術(shù)”課程新式教學(xué)理念應(yīng)用[J].科技信息,2010,(6).
[7]李金鳳,王健,劉歡.“射頻集成電路設(shè)計(jì)”課程教學(xué)改革初探[J].考試周刊,2012,(15).
[8]張銀蒲.基于射頻方向課程群的教學(xué)改革與創(chuàng)新[J].唐山學(xué)院學(xué)報(bào),2013,(1).
[9]王立華.虛擬網(wǎng)絡(luò)分析儀在射頻電路設(shè)計(jì)中的應(yīng)用[J].電子測量技術(shù),2012,(4).
收稿日期:2013-09-10
篇5
2001年我國新增“集成電路設(shè)計(jì)與集成系統(tǒng)”本科專業(yè),2003年至2009年,我國在清華大學(xué)、北京大學(xué)、復(fù)旦大學(xué)等高校分三批設(shè)立了20個(gè)大學(xué)集成電路人才培養(yǎng)基地,加上原有的“微電子科學(xué)與工程”專業(yè),目前,國內(nèi)已有近百所高校開設(shè)了微電子相關(guān)專業(yè)和實(shí)訓(xùn)基地,由此可見,國家對(duì)集成電路行業(yè)人才培養(yǎng)的高度重視。在新形勢下,集成電路相關(guān)專業(yè)的“重理論輕實(shí)踐”、“重教授輕自學(xué)輕互動(dòng)”的傳統(tǒng)人才培養(yǎng)模式已不再適用。因此,探索新的人才培養(yǎng)方式,改革集成電路設(shè)計(jì)類課程體系顯得尤為重要。傳統(tǒng)人才培養(yǎng)模式的“重理論、輕實(shí)踐”方面,可從課程教學(xué)學(xué)時(shí)安排上略見一斑。例如:某高?!澳M集成電路設(shè)計(jì)”課程,總學(xué)時(shí)為80,其中理論為64學(xué)時(shí),實(shí)驗(yàn)為16學(xué)時(shí),理論與實(shí)驗(yàn)學(xué)時(shí)比高達(dá)4∶1。由于受學(xué)時(shí)限制,實(shí)驗(yàn)內(nèi)容很難全面覆蓋模擬集成電路的典型結(jié)構(gòu),且實(shí)驗(yàn)所涉及的電路結(jié)構(gòu)、器件尺寸和參數(shù)只能由授課教師直接給出,學(xué)生在有限的實(shí)驗(yàn)學(xué)時(shí)內(nèi)僅完成電路的仿真驗(yàn)證工作。由于缺失了根據(jù)所學(xué)理論動(dòng)手設(shè)計(jì)電路結(jié)構(gòu),計(jì)算器件尺寸,以及通過仿真迭代優(yōu)化設(shè)計(jì)等環(huán)節(jié),使得眾多應(yīng)屆畢業(yè)生走出校園后普遍不具備直接參與集成電路設(shè)計(jì)的能力?!爸亟淌?、輕自學(xué)、輕互動(dòng)”的傳統(tǒng)教學(xué)方式也備受詬病。課堂上,授課教師過多地關(guān)注知識(shí)的傳授,忽略了發(fā)揮學(xué)生主動(dòng)學(xué)習(xí)的主觀能動(dòng)性,導(dǎo)致教師教得很累,學(xué)生學(xué)得無趣。
2集成電路設(shè)計(jì)類課程體系改革探索和教學(xué)模式的改進(jìn)
2014年“數(shù)字集成電路設(shè)計(jì)”課程被列入我校卓越課程的建設(shè)項(xiàng)目,以此為契機(jī),卓越課程建設(shè)小組對(duì)集成電路設(shè)計(jì)類課程進(jìn)行了探索性的“多維一體”的教學(xué)改革,運(yùn)用多元化的教學(xué)組織形式,通過合作學(xué)習(xí)、小組討論、項(xiàng)目學(xué)習(xí)、課外實(shí)訓(xùn)等方式,營造開放、協(xié)作、自主的學(xué)習(xí)氛圍和批判性的學(xué)習(xí)環(huán)境。
2.1新型集成電路設(shè)計(jì)課程體系探索
由于統(tǒng)一的人才培養(yǎng)方案,造成了學(xué)生“學(xué)而不精”局面,培養(yǎng)出來的學(xué)生很難快速適應(yīng)企業(yè)的需求,往往企業(yè)還需追加6~12個(gè)月的實(shí)訓(xùn),學(xué)生才能逐漸掌握專業(yè)技能,適應(yīng)工作崗位。因此,本卓越課程建設(shè)小組試圖根據(jù)差異化的人才培養(yǎng)目標(biāo),探索新型集成電路設(shè)計(jì)類課程體系,重新規(guī)劃課程體系,突出課程的差異化設(shè)置。集成電路設(shè)計(jì)類課程的差異化,即根據(jù)不同的人才培養(yǎng)目標(biāo),開設(shè)不同的專業(yè)課程。比如,一些班級(jí)側(cè)重培養(yǎng)集成電路前端設(shè)計(jì)的高端人才,其開設(shè)的集成電路設(shè)計(jì)類課程包括數(shù)字集成電路設(shè)計(jì)、集成電路系統(tǒng)與芯片設(shè)計(jì)、模擬集成電路設(shè)計(jì)、射頻電路基礎(chǔ)、硬件描述語言與FPGA設(shè)計(jì)、集成電路EDA技術(shù)、集成電路工藝原理等;另外的幾個(gè)班級(jí),則側(cè)重于集成電路后端設(shè)計(jì)的高端人才培養(yǎng),其開設(shè)的集成電路設(shè)計(jì)類課程包括數(shù)字集成電路設(shè)計(jì)、CMOS模擬集成電路設(shè)計(jì)、版圖設(shè)計(jì)技術(shù)、集成電路工藝原理、集成電路CAD、集成電路封裝與集成電路測試等。在多元化的培養(yǎng)模式中,加入實(shí)訓(xùn)環(huán)節(jié),為期一年,設(shè)置在第七、八學(xué)期。學(xué)生可自由選擇,或留在學(xué)校參與教師團(tuán)隊(duì)的項(xiàng)目進(jìn)行實(shí)訓(xùn),或進(jìn)入企業(yè)實(shí)習(xí),以此來提高學(xué)生的專業(yè)技能與綜合素質(zhì)。
2.2理論課課堂教學(xué)方式的改進(jìn)
傳統(tǒng)的課堂理論教學(xué)方式主要“以教為主”,缺少了“以學(xué)為主”的互動(dòng)環(huán)節(jié)和自主學(xué)習(xí)環(huán)節(jié)。通過增加以學(xué)生為主導(dǎo)的學(xué)習(xí)環(huán)節(jié),提高學(xué)生學(xué)習(xí)的興趣和學(xué)習(xí)效果。改進(jìn)措施如下:
(1)適當(dāng)降低精講學(xué)時(shí)。精講學(xué)時(shí)從以往的占課程總學(xué)時(shí)的75%~80%,降低為30%~40%,課程的重點(diǎn)和難點(diǎn)由主講教師精講,精講環(huán)節(jié)重在使學(xué)生掌握扎實(shí)的理論基礎(chǔ)。
(2)增加課堂互動(dòng)和自學(xué)學(xué)時(shí)。其學(xué)時(shí)由原來的占理論學(xué)時(shí)不到5%增至40%~50%。
(3)采用多樣化課堂教學(xué)手段,包括團(tuán)隊(duì)合作學(xué)習(xí)、課堂小組討論和自主學(xué)習(xí)等,激發(fā)學(xué)生自主學(xué)習(xí)的興趣。比如,教師結(jié)合當(dāng)前本專業(yè)國內(nèi)外發(fā)展趨勢、研究熱點(diǎn)和實(shí)踐應(yīng)用等,將課程內(nèi)容凝練成幾個(gè)專題供學(xué)生進(jìn)行小組討論,每小組人數(shù)控制在3~4人,課堂討論時(shí)間安排不低于課程總學(xué)時(shí)的30%[3]。專題內(nèi)容由學(xué)生通過自主學(xué)習(xí)的方式完成,小組成員在查閱大量的文獻(xiàn)資料后,撰寫報(bào)告,在課堂上與師生進(jìn)行交流。課堂理論教學(xué)方式的改進(jìn),充分調(diào)動(dòng)了學(xué)生的學(xué)習(xí)熱情和積極性,使學(xué)生從被動(dòng)接受變?yōu)橹鲃?dòng)學(xué)習(xí),既活躍了課堂氣氛,也營造了自主、平等、開放的學(xué)習(xí)氛圍。
2.3課程實(shí)驗(yàn)環(huán)節(jié)的改進(jìn)
為使學(xué)生盡快掌握集成電路設(shè)計(jì)經(jīng)驗(yàn),提高動(dòng)手實(shí)踐能力,探索一種內(nèi)容合適、難度適中的集成電路設(shè)計(jì)實(shí)驗(yàn)教學(xué)方法勢在必行。本課程建設(shè)小組將從以下幾個(gè)方面對(duì)課程實(shí)驗(yàn)環(huán)節(jié)進(jìn)行改進(jìn):
(1)適當(dāng)提高教學(xué)實(shí)驗(yàn)課時(shí)占課程總學(xué)時(shí)的比例,使理論和實(shí)驗(yàn)學(xué)時(shí)的比例不高于2∶1。
(2)增加課外實(shí)驗(yàn)任務(wù)。除實(shí)驗(yàn)學(xué)時(shí)內(nèi)必須完成的實(shí)驗(yàn)外,教師可增設(shè)多個(gè)備選實(shí)驗(yàn)供學(xué)生選擇。學(xué)生可在開放實(shí)驗(yàn)室完成相關(guān)實(shí)驗(yàn)內(nèi)容,為學(xué)生提供更多的自主思考和探索空間。
(3)提升集成電路設(shè)計(jì)實(shí)驗(yàn)室的軟、硬件環(huán)境。本專業(yè)通過申請實(shí)驗(yàn)室改造經(jīng)費(fèi),已完成多個(gè)相關(guān)實(shí)驗(yàn)室的軟、硬件升級(jí)換代。目前,實(shí)驗(yàn)室配套完善的EDA輔助電路設(shè)計(jì)軟件,該系列軟件均為業(yè)界認(rèn)可且使用率較高的軟件。
(4)統(tǒng)籌安排集成電路設(shè)計(jì)類課程群的教學(xué)實(shí)驗(yàn)環(huán)節(jié),力爭使課程群的實(shí)驗(yàn)內(nèi)容覆蓋設(shè)計(jì)全流程。由于集成電路設(shè)計(jì)類課程多、覆蓋面大,且由不同教師進(jìn)行授課,因此課程實(shí)驗(yàn)分散,難以統(tǒng)一。本課程建設(shè)小組為了提高學(xué)生的動(dòng)手能力和就業(yè)競爭力,全面規(guī)劃、統(tǒng)籌安排課程群內(nèi)的所有實(shí)驗(yàn),使學(xué)生對(duì)集成電路設(shè)計(jì)的全流程都有所了解。
3工程案例教學(xué)法的應(yīng)用
為提升學(xué)生的工程實(shí)踐經(jīng)驗(yàn),我們將工程案例教學(xué)法貫穿于整個(gè)課程群的理論、實(shí)驗(yàn)和作業(yè)環(huán)節(jié)。下面以模擬集成電路中的典型模塊多級(jí)放大器的設(shè)計(jì)為例,對(duì)該教學(xué)方法在課程中的應(yīng)用進(jìn)行詳細(xì)介紹。
3.1精講環(huán)節(jié)
運(yùn)算放大器是模擬系統(tǒng)和混合信號(hào)系統(tǒng)中一個(gè)完整而又重要的部分,從直流偏置的產(chǎn)生到高速放大或?yàn)V波,都離不開不同復(fù)雜程度的運(yùn)算放大器。因此,掌握運(yùn)算放大器知識(shí)是學(xué)生畢業(yè)后從事模擬集成電路設(shè)計(jì)的基礎(chǔ)。雖然多級(jí)運(yùn)算放大器的電路規(guī)模不是很大,但是在設(shè)計(jì)過程中,需根據(jù)性能指標(biāo),謹(jǐn)慎挑選運(yùn)放結(jié)構(gòu),合理設(shè)計(jì)器件尺寸。運(yùn)算放大器的性能指標(biāo)指導(dǎo)著設(shè)計(jì)的各個(gè)環(huán)節(jié)和幾個(gè)比較重要的設(shè)計(jì)參數(shù),如開環(huán)增益、小信號(hào)帶寬、最大功率、輸出電壓(流)擺幅、相位裕度、共模抑制比、電源抑制比、轉(zhuǎn)換速率等。由于運(yùn)算放大器的設(shè)計(jì)指標(biāo)多,設(shè)計(jì)過程相對(duì)復(fù)雜,因此其工作原理、電路結(jié)構(gòu)和器件尺寸的計(jì)算方法等,這部分內(nèi)容需要由主講教師精講,其教學(xué)內(nèi)容可以放在“模擬集成電路設(shè)計(jì)”課程的理論學(xué)時(shí)里。
3.2作業(yè)環(huán)節(jié)
課后作業(yè)不僅僅是課堂教學(xué)的鞏固,還應(yīng)是課程實(shí)驗(yàn)的準(zhǔn)備環(huán)節(jié)。為了彌補(bǔ)缺失的學(xué)生自主設(shè)計(jì)環(huán)節(jié),我們將電路結(jié)構(gòu)的設(shè)計(jì)和器件尺寸、相關(guān)參數(shù)的手工計(jì)算過程放在作業(yè)環(huán)節(jié)中完成。這樣做既不占用寶貴的實(shí)驗(yàn)學(xué)時(shí),又提高了學(xué)生的分析問題和解決問題的能力。比如兩級(jí)運(yùn)算放大器的設(shè)計(jì)和仿真實(shí)驗(yàn),運(yùn)放的設(shè)計(jì)指標(biāo)為:直流增益>80dB;單位增益帶寬>50MHz;負(fù)載電容為2pF;相位裕度>60°;共模電平為0.9V(VDD=1.8V);差分輸出擺幅>±0.9V;差分壓擺率>100V/μs。在上機(jī)實(shí)驗(yàn)之前,主講教師先將該運(yùn)放的設(shè)計(jì)指標(biāo)布置在作業(yè)中,學(xué)生根據(jù)教師指定的設(shè)計(jì)參數(shù)完成兩級(jí)運(yùn)放結(jié)構(gòu)選型及器件尺寸、參數(shù)的手工計(jì)算工作,仿真驗(yàn)證和電路優(yōu)化工作在實(shí)驗(yàn)學(xué)時(shí)或課外實(shí)訓(xùn)環(huán)節(jié)中完成。
3.3實(shí)驗(yàn)環(huán)節(jié)
在課程實(shí)驗(yàn)中,學(xué)生使用EDA軟件平臺(tái)將作業(yè)中設(shè)計(jì)好的電路輸入并搭建相關(guān)仿真環(huán)境,進(jìn)行仿真驗(yàn)證工作。學(xué)生根據(jù)仿真結(jié)果不斷優(yōu)化電路結(jié)構(gòu)和器件尺寸,直至所設(shè)計(jì)的運(yùn)算放大器滿足所有預(yù)設(shè)指標(biāo)。其教學(xué)內(nèi)容可放在“模擬集成電路設(shè)計(jì)”或“集成電路EDA技術(shù)”課程里[4]。
3.4版圖設(shè)計(jì)環(huán)節(jié)
版圖是電路系統(tǒng)和集成電路工藝之間的橋梁,是集成電路設(shè)計(jì)不可或缺的重要環(huán)節(jié)。通過集成電路的版圖設(shè)計(jì),可將立體的電路系統(tǒng)變?yōu)橐粋€(gè)二維的平面圖形,再經(jīng)過工藝加工還原為基于硅材料的立體結(jié)構(gòu)。兩級(jí)運(yùn)算放大器屬于模擬集成電路,其版圖設(shè)計(jì)不僅要滿足工藝廠商提供的設(shè)計(jì)規(guī)則,還應(yīng)考慮到模擬集成電路版圖設(shè)計(jì)的準(zhǔn)則,如匹配性、抗干擾性以及冗余設(shè)計(jì)等。其教學(xué)內(nèi)容可放在課程群中“版圖設(shè)計(jì)技術(shù)”的實(shí)驗(yàn)環(huán)節(jié)完成。通過理論環(huán)節(jié)、作業(yè)環(huán)節(jié)以及實(shí)驗(yàn)的迭代仿真和版圖設(shè)計(jì)環(huán)節(jié),使學(xué)生掌握模擬集成電路的前端設(shè)計(jì)到后端設(shè)計(jì)流程,以及相關(guān)EDA軟件的使用,具備了直接參與模擬集成電路設(shè)計(jì)的能力。
4結(jié)語
篇6
【關(guān)鍵詞】CDIO 《電路設(shè)計(jì)軟件》 教學(xué)改革
1 引言
作為通信、電子及其相關(guān)專業(yè)課程體系中的核心課程,《電路設(shè)計(jì)軟件》的特點(diǎn)是集理論性和實(shí)踐性于一體。課程教學(xué)的目的是要求學(xué)生既要掌握電路設(shè)計(jì)軟件的基本操作方法,又要具備PCB設(shè)計(jì)的基本能力。在傳統(tǒng)教學(xué)中,教師往往注重軟件的基本操作過程,以至于忽略了學(xué)生工程設(shè)計(jì)能力的培養(yǎng)。通過對(duì)電子科技大學(xué)成都學(xué)院(以下稱本院)通信工程系2012級(jí)~2013級(jí)的15名學(xué)生進(jìn)行問卷調(diào)查,結(jié)果顯示,其中12名學(xué)生對(duì)軟件操作的流程非常熟悉,然而,一旦涉及讓學(xué)生獨(dú)立設(shè)計(jì)簡單項(xiàng)目,卻僅僅只有4人能夠獨(dú)立開展。由此可知,傳統(tǒng)的教學(xué)方式已經(jīng)不再適應(yīng)時(shí)代的人才需求,完善當(dāng)前的教學(xué)方法勢在必行。隨著社會(huì)對(duì)大學(xué)生動(dòng)手能力的要求越來越高,CDIO的工程教學(xué)模式被許多高校廣泛采用。大量案例證明,該模式對(duì)于提升學(xué)生的動(dòng)手能力和創(chuàng)新能力都是行之有效的。因此,在《電路設(shè)計(jì)軟件》課程中,擬采用該教學(xué)方式進(jìn)行實(shí)踐。
2 課程特點(diǎn)分析
《電路設(shè)計(jì)軟件》是一門以實(shí)驗(yàn)教學(xué)為主的課程,主要的教學(xué)內(nèi)容包括:電路設(shè)計(jì)軟件的使用方法、工程項(xiàng)目的開發(fā)流程等。因此,課程教學(xué)的首要任務(wù)是讓學(xué)生熟悉軟件的操作方法。然而,該環(huán)節(jié)并非教學(xué)的主要目的,僅僅只能作為課程教學(xué)的初級(jí)目標(biāo)。而實(shí)際工程項(xiàng)目中,掌握電路設(shè)計(jì)的能力和方法,熟悉基礎(chǔ)的設(shè)計(jì)原理與規(guī)范至關(guān)重要,故教學(xué)中也應(yīng)該將重心放在該環(huán)節(jié)中。所以,培養(yǎng)學(xué)生的實(shí)際工程設(shè)計(jì)能力,既是教學(xué)重點(diǎn),也是教學(xué)難點(diǎn)。針對(duì)該難點(diǎn),擬采取從實(shí)際項(xiàng)目出發(fā),讓學(xué)生參與到電路設(shè)計(jì)的工程項(xiàng)目中,主動(dòng)探索問題、發(fā)現(xiàn)問題、解決問題,從而達(dá)到提升理論知識(shí)水平、提高強(qiáng)動(dòng)手能力的目的。
3 基于CDIO的教學(xué)改革方案
CDIO工程教育模式的本質(zhì)是讓學(xué)生在“做中學(xué)”和“學(xué)中做”。因此,在教學(xué)培養(yǎng)方案的修訂中,必須針對(duì)課程的不同知識(shí)點(diǎn),開展各級(jí)項(xiàng)目。本課程的實(shí)例項(xiàng)目體系如圖1所示。
圖1 電路設(shè)計(jì)軟件課程實(shí)例項(xiàng)目體系
3.1電路設(shè)計(jì)軟件操作基礎(chǔ)教學(xué)
基礎(chǔ)教學(xué)是本院《電路設(shè)計(jì)軟件》CDIO教學(xué)中的首要環(huán)節(jié)。具體的教學(xué)措施是實(shí)例引入,即在實(shí)驗(yàn)中將相應(yīng)的知識(shí)點(diǎn)都用實(shí)例來說明,如電路原理圖設(shè)計(jì)、報(bào)表的生成、pcb版圖創(chuàng)建以及布線操作等。通過對(duì)各個(gè)實(shí)例的親自操作,讓學(xué)生便能夠掌握軟件操作基本方法。同時(shí),為培養(yǎng)學(xué)生的團(tuán)隊(duì)意識(shí),擬采用分組教學(xué)模式,將學(xué)生按照3~5人分組,每組配合完成每次的課堂操作練習(xí)實(shí)例。考慮學(xué)生基礎(chǔ)問題,這些實(shí)例的選擇首先是基于實(shí)際工程案例,同時(shí)兼顧學(xué)生入門要求,對(duì)難度進(jìn)行控制,詳細(xì)描述實(shí)例的工程應(yīng)用狀況,讓學(xué)生明白要做什么,在做什么。針對(duì)每個(gè)實(shí)例操作相應(yīng)地對(duì)每組學(xué)生進(jìn)行分?jǐn)?shù)評(píng)定。在實(shí)驗(yàn)操作過程中,對(duì)普遍出現(xiàn)的問題進(jìn)行集中講解,個(gè)別錯(cuò)誤一對(duì)一討論,以這種方式確保學(xué)生對(duì)實(shí)例的理解以及操作的掌握,為后續(xù)教學(xué)過程打好基礎(chǔ)。
3.2電路設(shè)計(jì)技巧教學(xué)
技巧教學(xué)集中在電路設(shè)計(jì)技巧進(jìn)階,目的是讓學(xué)生在掌握了軟件操作技巧之后,能夠更進(jìn)一步對(duì)電路原理與相對(duì)高級(jí)的設(shè)計(jì)技巧有一定了解,為下一板塊即項(xiàng)目任務(wù)板塊打好基礎(chǔ)。在傳統(tǒng)教學(xué)中,這一部分內(nèi)容往往被忽視,而在實(shí)際的工程實(shí)踐中,要能夠設(shè)計(jì)出滿足性能要求的電路板,該方面的能力是必需的。因此,在教學(xué)中要引入一定的電路設(shè)計(jì)理論教學(xué)模塊,從而培養(yǎng)學(xué)生創(chuàng)新設(shè)計(jì)能力,主要包含軟件高級(jí)操作技巧,元件相關(guān)高級(jí)編輯技巧,PCB布局技巧,PCB布線技巧。同時(shí)以分組方式對(duì)各組成員完成情況進(jìn)行成績評(píng)定。在電路設(shè)計(jì)領(lǐng)域,設(shè)計(jì)技巧難度差異非常大。本部分教學(xué)內(nèi)容經(jīng)過精挑細(xì)選,力求在展示設(shè)計(jì)高級(jí)技巧的同時(shí),全面貼合學(xué)生的實(shí)際基礎(chǔ)水平,以“項(xiàng)目講座”到“自學(xué)提高”模式,充分激發(fā)學(xué)生自我專研精神與學(xué)習(xí)興趣,從而培養(yǎng)學(xué)生電路設(shè)計(jì)的實(shí)踐能力。
3.3電路設(shè)計(jì)項(xiàng)目任務(wù)教學(xué)
貼合學(xué)生實(shí)際,精選出完整的項(xiàng)目任務(wù)。讓學(xué)生團(tuán)隊(duì)完成從需求分析、方案制定,到設(shè)計(jì)出圖、產(chǎn)品調(diào)試、成品交付的整個(gè)實(shí)際產(chǎn)品流程。教學(xué)內(nèi)容包含分組選題與任務(wù)下達(dá)、方案討論與定型以及資料收集整理與原理圖設(shè)計(jì)、pcb版圖設(shè)計(jì)布局布線、項(xiàng)目驗(yàn)收與考核。項(xiàng)目選擇貼合專業(yè)方向,體現(xiàn)工程實(shí)際需求,以難度為基準(zhǔn)進(jìn)行項(xiàng)目分集,分為一級(jí)項(xiàng)目、二級(jí)項(xiàng)目以及三級(jí)項(xiàng)目。學(xué)生團(tuán)隊(duì)可以根據(jù)自己的基礎(chǔ)情況進(jìn)行選題,同時(shí)鼓勵(lì)學(xué)生創(chuàng)新思路,自主提出項(xiàng)目研究方向,充分激發(fā)學(xué)生自我專研精神,培養(yǎng)學(xué)生實(shí)踐開發(fā)能力。
3.4 考核形式的多樣化
CDIO教學(xué)模式主要體現(xiàn)在讓學(xué)生感受工程項(xiàng)目實(shí)踐的過程。因此,傳統(tǒng)的以單一上機(jī)考試成績?yōu)樵u(píng)定標(biāo)準(zhǔn)的考核形式應(yīng)當(dāng)弱化,取而代之的是將單一成績轉(zhuǎn)變?yōu)榫C合成績評(píng)定,即項(xiàng)目實(shí)施中的每個(gè)環(huán)節(jié)都參與到考核中。例如,在電路設(shè)計(jì)中,針對(duì)學(xué)生的原理圖設(shè)計(jì)的合理性,進(jìn)行階段性的成績評(píng)定,并以一定比例納入總成績。本院的《電路設(shè)計(jì)軟件》課程中,成績分布比例擬修訂為:期末成績比重為40%,考核方式為上機(jī)考試;平時(shí)成績分為三個(gè)部分:軟件基礎(chǔ)部分15%,軟件設(shè)計(jì)技巧部分15%,項(xiàng)目任務(wù)部分30%。
4 教學(xué)案例分析
以本院13級(jí)通信工程專業(yè)兩個(gè)班為教學(xué)測試對(duì)象,為方便比較,將其定義為A班和B班。測試時(shí)間為2014―2015學(xué)年第2期。教學(xué)模式的選擇上,A班沿用傳統(tǒng)模式、B班則采用CDIO教學(xué)模式。最終,兩個(gè)班都以一個(gè)含耦合電感電路的簡單項(xiàng)目設(shè)計(jì)仿真為測試題目。學(xué)生的最終成績,由4名本系電氣工程專家根據(jù)項(xiàng)目完成質(zhì)量,進(jìn)行綜合評(píng)定??己司唧w情況如圖2所示。
圖2. A班與B班最終成績對(duì)比
由圖2可知,在實(shí)施CDIO教學(xué)模式后,B班學(xué)生在高分段、良好段以及合格段均全面高于傳統(tǒng)模式下的A班。由此可以證明,該課程CDIO教學(xué)方案的措施,是能夠促進(jìn)教學(xué)質(zhì)量大幅度提高的,值得推廣。
5 結(jié)語
在《電路設(shè)計(jì)軟件》課程教學(xué)中,引入CDIO教學(xué)模式,能夠有效地培養(yǎng)學(xué)生鉆研能力,培養(yǎng)學(xué)生的創(chuàng)造性思維,提升學(xué)生的實(shí)踐動(dòng)手能力。在未來的教學(xué)中,該教學(xué)模式還需要不斷完善,因此,教師還需精心設(shè)計(jì)各個(gè)環(huán)節(jié)的實(shí)例與項(xiàng)目任務(wù),從多個(gè)方面介紹設(shè)計(jì)軟件操作、設(shè)計(jì)技巧、工程實(shí)踐需求等方面,力求讓學(xué)生的能力得到不斷提高。
【參考文獻(xiàn)】
[1]魏雄,陸玲.OrCAD和PADS Layout電路設(shè)計(jì)與實(shí)踐[M].西安:西安電子科技大學(xué)出版社, 2010.
篇7
關(guān)鍵詞:電子設(shè)計(jì)自動(dòng)化;課程特點(diǎn);教學(xué)方法
作者簡介:董素鴿(1983-),女,河南葉縣人,鄭州大學(xué)西亞斯國際學(xué)院電子信息工程學(xué)院,助教;李華(1972-),男,河南鄭州人,鄭州大學(xué)西亞斯國際學(xué)院電子信息工程學(xué)院,助教。(河南鄭州451150)
中圖分類號(hào):G642.41 文獻(xiàn)標(biāo)識(shí)碼:A 文章編號(hào):1007-0079(2012)11-0046-02
電子設(shè)計(jì)自動(dòng)化(EDA:Electronic Design automation)是將計(jì)算機(jī)技術(shù)應(yīng)用于電子設(shè)計(jì)過程中而形成的一門新技術(shù),[1]它已經(jīng)被廣泛應(yīng)用于電子電路的設(shè)計(jì)和仿真、集成電路的版圖設(shè)計(jì)、印刷電路板(PCB)的設(shè)計(jì)和可編程器件的編程等各項(xiàng)工作中。
隨著半導(dǎo)體技術(shù)及電子信息工業(yè)的不斷發(fā)展,電子設(shè)計(jì)自動(dòng)化技術(shù)在信息行業(yè)中的應(yīng)用范圍越來越廣泛,應(yīng)用領(lǐng)域也涉及產(chǎn)業(yè)鏈中的幾乎任何一個(gè)環(huán)節(jié)。一方面是社會(huì)上對(duì)電子設(shè)計(jì)自動(dòng)化人才的急需,另一方面是我國高校中電子設(shè)計(jì)自動(dòng)化人才培養(yǎng)的落后,兩者之間的矛盾也促使眾多的高校開始在電子信息、微電子技術(shù)等專業(yè)中開設(shè)“電子設(shè)計(jì)自動(dòng)化”課程。如今,該課程已成為眾多信息類學(xué)科的專業(yè)必修課,這為我國電子設(shè)計(jì)自動(dòng)化人才的培養(yǎng)和充實(shí)做出了巨大的貢獻(xiàn)。
“電子設(shè)計(jì)自動(dòng)化”課程教學(xué)效果直接影響著人才培養(yǎng)的質(zhì)量,因此,優(yōu)秀的教學(xué)方法和教學(xué)質(zhì)量是教學(xué)過程中必須重視的。筆者根據(jù)近幾年的教學(xué)經(jīng)歷,總結(jié)經(jīng)驗(yàn),開拓創(chuàng)新,形成了一套特有的教學(xué)方法,旨在培養(yǎng)出基礎(chǔ)牢、思路清、知識(shí)廣、能力強(qiáng)的電子設(shè)計(jì)自動(dòng)化人才。
一、“電子設(shè)計(jì)自動(dòng)化”課程教學(xué)的特點(diǎn)
電子設(shè)計(jì)自動(dòng)化是一個(gè)較為寬泛的概念,它涵蓋了電路設(shè)計(jì)、電路測試與驗(yàn)證、版圖設(shè)計(jì)、PCB板開發(fā)等各個(gè)不同的應(yīng)用范圍。而當(dāng)前“電子設(shè)計(jì)自動(dòng)化”課程設(shè)置多數(shù)側(cè)重電路設(shè)計(jì)部分,即采用硬件描述語言設(shè)計(jì)數(shù)字電路。因此,該課程的教學(xué)具非常突出的特點(diǎn)。
1.既要有廣度,又要有深度
有廣度即在教學(xué)過程中需要把電子設(shè)計(jì)自動(dòng)化所包含的各個(gè)不同的應(yīng)用環(huán)節(jié)都要讓學(xué)生了解,從而使學(xué)生從整個(gè)產(chǎn)業(yè)鏈的角度出發(fā),把握電子設(shè)計(jì)自動(dòng)化的真正含義,以便于他們建立起一個(gè)全局概念。有深度即在教學(xué)過程中緊抓電路設(shè)計(jì)這個(gè)重點(diǎn),著重講解如何使用硬件描述語言設(shè)計(jì)硬件電路,使學(xué)生具備電路設(shè)計(jì)的具體技能,并能夠應(yīng)用于實(shí)踐和工作當(dāng)中。
2.突出硬件電路設(shè)計(jì)的概念
在眾多高校開設(shè)的“電子設(shè)計(jì)自動(dòng)化”課程中,多數(shù)是以硬件描述語言VHDL作為學(xué)習(xí)重點(diǎn)的。而VHDL語言是一門比較特殊的語言,與C語言、匯編語言等存在很大的不同。因此,在教學(xué)過程中首先要讓學(xué)生明白這門語言與前期所學(xué)的其他語言的區(qū)別,并通過實(shí)例,如CPU的設(shè)計(jì)及制造過程,讓學(xué)生明白VHDL等硬件描述語言的真正用途,并將硬件電路設(shè)計(jì)的概念貫穿整個(gè)教學(xué)過程。
3.理論與實(shí)踐并重
“電子設(shè)計(jì)自動(dòng)化”是一門理論性與實(shí)踐性都很強(qiáng)的課程,必須兩者并重,才能收到良好的教學(xué)效果。在理論學(xué)習(xí)中要突顯語法要點(diǎn)和電路設(shè)計(jì)思想,[2]并通過實(shí)踐將這些語法與設(shè)計(jì)思想得以加強(qiáng)和鞏固,同時(shí)在實(shí)踐中鍛煉學(xué)生的創(chuàng)新能力。
二、“電子設(shè)計(jì)自動(dòng)化”課程教學(xué)方法總結(jié)
良好的教學(xué)方法能起到事半功倍的效果。因此,針對(duì)“電子設(shè)計(jì)自動(dòng)化”課程的教學(xué)特點(diǎn),筆者根據(jù)近幾年的教學(xué)經(jīng)驗(yàn)總結(jié)了一些行之有效的教學(xué)方法。
1.以生動(dòng)的形式帶領(lǐng)學(xué)生進(jìn)入電子設(shè)計(jì)自動(dòng)化的世界
電子設(shè)計(jì)自動(dòng)化對(duì)學(xué)生來說是一個(gè)全新的概念。如何讓他們能夠快速地進(jìn)入到這個(gè)世界中,并了解這個(gè)世界的大概,從而對(duì)這個(gè)領(lǐng)域產(chǎn)生興趣,是每個(gè)老師在這門課授課之前必須要做的一件事情。教師可以采用一些現(xiàn)代化的多媒體授課技術(shù),讓學(xué)生更直觀地了解電子設(shè)計(jì)自動(dòng)化。由于電子設(shè)計(jì)自動(dòng)化是一個(gè)很抽象的概念,因此,可以通過播放視頻、圖片等一些比較直觀的內(nèi)容來讓學(xué)生了解這個(gè)領(lǐng)域。從學(xué)生最熟悉的電腦CPU引入,通過一段“CPU從設(shè)計(jì)到制造過程”的視頻,讓學(xué)生了解集成電路設(shè)計(jì)與制造的流程與方法,并引出集成電路這個(gè)概念。
通過早期的集成電路與現(xiàn)在的集成電路的圖片對(duì)比,引出EDA的概念,并詳細(xì)講解EDA對(duì)于集成電路行業(yè)的發(fā)展所作的巨大貢獻(xiàn)。在教學(xué)過程中,通過向?qū)W生介紹一些使用EDA技術(shù)實(shí)現(xiàn)的當(dāng)前比較主流的產(chǎn)品及其應(yīng)用,提高學(xué)生對(duì)EDA的具體認(rèn)識(shí)。這些方法不僅使學(xué)生對(duì)EDA相關(guān)的產(chǎn)業(yè)有了相應(yīng)的了解,更激發(fā)了學(xué)生的學(xué)習(xí)興趣,使學(xué)生能夠踴躍地投入到“電子設(shè)計(jì)自動(dòng)化”的學(xué)習(xí)中。
2.以實(shí)例展開理論教學(xué)
“電子設(shè)計(jì)自動(dòng)化”的學(xué)習(xí)內(nèi)容包含三大部分:[3]硬件描述語言(以VHDL語言為學(xué)習(xí)對(duì)象)、開發(fā)軟件(以QUARTUS II為學(xué)習(xí)對(duì)象)和實(shí)驗(yàn)用開發(fā)板(以FPGA開發(fā)板為學(xué)習(xí)對(duì)象)。
硬件描述語言的學(xué)習(xí)屬于理論學(xué)習(xí)部分,是重中之重。對(duì)于一門編程語言的學(xué)習(xí)來說,語法和編程思想是學(xué)習(xí)要點(diǎn)。在傳統(tǒng)的編程語言學(xué)習(xí)的過程中,通常都是將語法作為主線,結(jié)合語法實(shí)例逐漸形成編程思想。這種學(xué)習(xí)方法會(huì)使學(xué)生陷入到學(xué)編程語言就是學(xué)習(xí)語法的誤區(qū)中,不僅不能學(xué)到精髓,還會(huì)因?yàn)榭菰锓ξ抖a(chǎn)生厭倦感。
如何能使學(xué)生既能掌握電路設(shè)計(jì)的方法,又輕松掌握語法規(guī)則是一個(gè)教學(xué)難題。筆者改變傳統(tǒng)觀念,將編程思想的學(xué)習(xí)作為教學(xué)主線,在理論學(xué)習(xí)過程中,以具體電路實(shí)例為基礎(chǔ),引導(dǎo)學(xué)生從分析電路的功能入手,熟悉將電路功能轉(zhuǎn)換為相應(yīng)的程序語句的過程,并掌握如何將這些語句按照規(guī)則組織成一個(gè)完整無誤的程序。在此過程中,不斷引入新的語法規(guī)則。由于整個(gè)過程中學(xué)生的思考重點(diǎn)都放在電路功能的實(shí)現(xiàn)上,而語法的學(xué)習(xí)就顯得不那么突兀,也不會(huì)產(chǎn)生厭倦感。由于語法時(shí)刻都需要用到且容易忘記,因此在后期的實(shí)例講解過程中需要不斷地鞏固之前所學(xué)過的語法現(xiàn)象,以避免學(xué)生遺忘,以此讓學(xué)生明白,學(xué)習(xí)編程語言的真正目的是為了應(yīng)用于電路設(shè)計(jì)。通過一些實(shí)踐,學(xué)生體會(huì)到語言學(xué)習(xí)的成就感,進(jìn)一步提高了學(xué)習(xí)興趣,此方法收到了良好的教學(xué)效果。
3.將硬件電路設(shè)計(jì)的概念貫穿始終
硬件描述語言與軟件語言有本質(zhì)區(qū)別。很多學(xué)生由于不了解硬件描述語言的特點(diǎn),在學(xué)習(xí)過程中很容易將之前所學(xué)的C語言等軟件編程語言的思維慣性的應(yīng)用于VHDL語言的學(xué)習(xí)過程中,這對(duì)于掌握硬件電路設(shè)計(jì)的實(shí)質(zhì)有非常大的阻礙。因此,在教學(xué)過程中,從最初引入到最后設(shè)計(jì)電路,都要始終將硬件電路設(shè)計(jì)的概念和思維方式貫穿其中。
在講述應(yīng)用實(shí)例時(shí),需要向?qū)W生分析該例中的語句和硬件電路的關(guān)系,并強(qiáng)調(diào)這些語句與軟件語言的區(qū)別。以if語句為例,在VHDL語言中,if語句的不同應(yīng)用可以產(chǎn)生不同的電路結(jié)構(gòu)。完整的if語句產(chǎn)生純組合電路,不完整的if語句將產(chǎn)生時(shí)序電路,如果應(yīng)用不當(dāng),會(huì)在電路中引入不必要的存儲(chǔ)單元,增加電路模塊,耗費(fèi)資源。[4]而對(duì)于軟件語言,并沒有完整if語句與不完整if語句之分。為了讓學(xué)生更深刻地理解不同的if語句對(duì)應(yīng)的硬件電路結(jié)構(gòu)特性,可以通過一個(gè)小實(shí)例綜合之后的電路結(jié)構(gòu)圖來說明。
如以下兩個(gè)程序:
(1)entity muxab is
port(a,b:in bit;
y:out bit);
end;
architecture behave of muxab is
begin
process(a,b)
begin
if a>b then y
elsif a
end if;
end process;
end;
(2)entity muxab is
port(a,b:in bit;
y:out bit);
end;
architecture behave of muxab is
begin
process(a,b)
begin
if a>b then y
else y
end if;
end process;
end;
(1)(2)兩個(gè)程序唯一的不同點(diǎn)在于:程序(1)中使用的是elsif語句,是一個(gè)不完整的if語句描述,而程序(2)使用的是else語句,是一個(gè)完整的if語句描述。這一條語句的區(qū)別卻決定了兩個(gè)程序的電路結(jié)構(gòu)有很大的不同。(1)綜合的結(jié)果是一個(gè)時(shí)序電路,電路結(jié)構(gòu)復(fù)雜,如圖1所示。而(2)綜合的結(jié)果是一個(gè)純組合電路,電路結(jié)構(gòu)非常簡單,如圖2所示。通過綜合后的電路圖比較,學(xué)生更深刻理解這兩類語句的區(qū)別。
強(qiáng)化硬件電路設(shè)計(jì)的思想,可以促使學(xué)生逐漸形成一種規(guī)范、高效、資源節(jié)約的設(shè)計(jì)風(fēng)格,培養(yǎng)一個(gè)優(yōu)秀的硬件電路設(shè)計(jì)工程師。
4.通過實(shí)踐拓展強(qiáng)化學(xué)生動(dòng)手能力
“電子設(shè)計(jì)自動(dòng)化”是一門實(shí)用性很強(qiáng)的課程,學(xué)生在學(xué)完該課程后必須具備一定的硬件電路設(shè)計(jì)和調(diào)試的能力,因此在教學(xué)中需要不斷地用實(shí)踐訓(xùn)練來強(qiáng)化學(xué)生在課堂所學(xué)習(xí)的理論知識(shí),并使他們達(dá)到能夠獨(dú)立設(shè)計(jì)較復(fù)雜硬件電路的能力。
筆者在教學(xué)過程中鼓勵(lì)學(xué)生將課程實(shí)踐和畢業(yè)設(shè)計(jì)內(nèi)容相結(jié)合的方法,讓學(xué)生強(qiáng)化實(shí)踐能力,收到了良好的效果。學(xué)習(xí)“電子設(shè)計(jì)自動(dòng)化”課程的學(xué)生基本上都是即將進(jìn)入大四,此時(shí)他們的畢業(yè)設(shè)計(jì)已經(jīng)開始進(jìn)入選題,開始了初步設(shè)計(jì)的過程。筆者先在實(shí)驗(yàn)課堂向?qū)W生布置一些常用硬件電路設(shè)計(jì)的題目,比如交通燈、自動(dòng)售貨機(jī)、電梯控制器等,讓學(xué)生體會(huì)電子設(shè)計(jì)自動(dòng)化課程的實(shí)用性,激發(fā)他們的思考和學(xué)習(xí)興趣。在此基礎(chǔ)上分組組建實(shí)踐小團(tuán)隊(duì),讓每組學(xué)生共同完成一個(gè)較復(fù)雜的電路系統(tǒng),比如遙控小車、溫度測控系統(tǒng)等,鼓勵(lì)他們將所做的內(nèi)容與畢業(yè)設(shè)計(jì)對(duì)接。其中大部分同學(xué)通過這些訓(xùn)練都可以掌握硬件電路設(shè)計(jì)的基本方法和流程,有一部分同學(xué)還能設(shè)計(jì)出比較出色的作品。此過程不僅讓學(xué)生體會(huì)到了學(xué)習(xí)知識(shí)的快樂,也培養(yǎng)了他們的團(tuán)隊(duì)協(xié)作精神,為他們以后的繼續(xù)深造和工作做了鋪墊。
三、結(jié)束語
掌握“電子設(shè)計(jì)自動(dòng)化”課程的特點(diǎn),有針對(duì)性地改善教學(xué)方法,充分調(diào)動(dòng)學(xué)生的學(xué)習(xí)積極性,強(qiáng)化理論和實(shí)踐教學(xué)相結(jié)合,一方面使學(xué)生把握課程的全局性,了解和熟悉電子設(shè)計(jì)自動(dòng)化行業(yè)的狀況和最新動(dòng)態(tài);另一方面培養(yǎng)學(xué)生具有扎實(shí)的理論基礎(chǔ)和良好的動(dòng)手能力,培養(yǎng)出厚基礎(chǔ)、重實(shí)踐、有創(chuàng)新的高素質(zhì)人才,具有重要的社會(huì)意義。
參考文獻(xiàn):
[1]潘松,黃繼業(yè).EDA技術(shù)與VHDL(第二版)[M].北京:清華大學(xué)出版社,2007.
[2]Roth,C.H.數(shù)字系統(tǒng)設(shè)計(jì)與VHDL[M].金明錄,劉倩,譯.北京:電子工業(yè)出版社,2008.
篇8
關(guān)鍵詞:CDIO理念;數(shù)字電路設(shè)計(jì);實(shí)踐環(huán)節(jié)教學(xué)
一、引言
CDIO理念是由麻省理工學(xué)院等四所大學(xué)所創(chuàng)立,是一種全新的課程教學(xué)理念,主要涵蓋12項(xiàng)標(biāo)準(zhǔn),關(guān)注學(xué)生可操作性能力培養(yǎng),使學(xué)生能夠在主動(dòng)、積極的學(xué)習(xí)態(tài)度下,更加靈活、深入地參與到課程教學(xué)實(shí)踐活動(dòng)中。CDIO理念在數(shù)字電路設(shè)計(jì)實(shí)踐環(huán)節(jié)教學(xué)改革中的應(yīng)用,有助于學(xué)生綜合實(shí)踐能力的提升,對(duì)學(xué)生未來的工作與發(fā)展能夠產(chǎn)生重要的影響。本文基于CDIO理念的內(nèi)容,分析數(shù)字電路設(shè)計(jì)實(shí)踐環(huán)節(jié)教學(xué)的相關(guān)方式,以期能夠不斷提升學(xué)生的綜合實(shí)踐水平。
二、CDIO理念在數(shù)字電路設(shè)計(jì)實(shí)踐環(huán)節(jié)教學(xué)中應(yīng)用的價(jià)值
CDIO理念在數(shù)字電路設(shè)計(jì)實(shí)踐環(huán)節(jié)中的應(yīng)用,符合課程教學(xué)的客觀要求,能夠?yàn)閷W(xué)生未來的發(fā)展奠定良好的基礎(chǔ)。第一,符合課程教學(xué)的客觀要求。硬件課程入門相對(duì)較難,實(shí)踐環(huán)節(jié)是提升學(xué)生理論知識(shí)聯(lián)系實(shí)踐的重要方式,將CDIO理念融入數(shù)字電路設(shè)計(jì)實(shí)踐環(huán)節(jié)中,有助于豐富課程教學(xué)的內(nèi)容,轉(zhuǎn)變單一的教師講授課程教學(xué)方式,在充分激發(fā)學(xué)生實(shí)踐探究興趣、實(shí)踐參與熱情的基礎(chǔ)上,培養(yǎng)學(xué)生問題分析能力、問題解決能力,為學(xué)生數(shù)字電路設(shè)計(jì)綜合能力的提升奠定良好的基礎(chǔ)。[1]第二,符合社會(huì)用人的實(shí)際需求。信息化時(shí)代背景下,社會(huì)中用人單位對(duì)數(shù)字電路設(shè)計(jì)人才的需求不斷增加,將CDIO理念融入教學(xué)實(shí)踐環(huán)節(jié)中,通過針對(duì)性的情境設(shè)計(jì)、案例分析等方式,能夠使學(xué)生在實(shí)驗(yàn)參與、實(shí)驗(yàn)設(shè)計(jì)的過程中,形成一定的綜合問題分析能力,培養(yǎng)學(xué)生的創(chuàng)新意識(shí)與創(chuàng)新能力,為學(xué)生未來的工作與發(fā)展奠定良好的基礎(chǔ)。
三、基于CDIO理念的數(shù)字電路設(shè)計(jì)實(shí)踐環(huán)節(jié)教學(xué)改革對(duì)策
素質(zhì)教育理念下,基于CDIO理念的數(shù)字電路設(shè)計(jì)實(shí)踐教學(xué)環(huán)節(jié)教學(xué),可以通過基于課程教學(xué)的目標(biāo),循序漸進(jìn)開展綜合實(shí)驗(yàn)活動(dòng);開展合作探究的活動(dòng),增強(qiáng)學(xué)生實(shí)際電路設(shè)計(jì)能力等方式循序漸進(jìn)的展開。第一,基于課程教學(xué)的目標(biāo),循序漸進(jìn)開展綜合實(shí)驗(yàn)活動(dòng)。明確的課程教學(xué)目標(biāo)設(shè)計(jì),能夠使各項(xiàng)數(shù)字電路設(shè)計(jì)實(shí)踐教學(xué)環(huán)節(jié)能夠循序漸進(jìn)的展開,對(duì)學(xué)生知識(shí)的逐漸學(xué)習(xí)以及能力的不斷提升,能夠產(chǎn)生積極的影響。教師可以結(jié)合課程教學(xué)內(nèi)容進(jìn)行教學(xué)目標(biāo)的設(shè)計(jì),基于CDIO標(biāo)準(zhǔn)中3、5、7的要求,結(jié)合嘗試教學(xué)的方法,鼓勵(lì)學(xué)生多嘗試、多參與。[2]教師可以將數(shù)字電路設(shè)計(jì)課程教學(xué)實(shí)踐環(huán)節(jié)分成兩個(gè)層次,從最基礎(chǔ)的入門級(jí)電路編程開始,難度逐漸提升,最后使每一位學(xué)生均能夠完成實(shí)際的案例綜合實(shí)驗(yàn),初步具備實(shí)際的工程能力。實(shí)驗(yàn)教學(xué)環(huán)節(jié)中,教師需要秉持著良好的教學(xué)態(tài)度,平等對(duì)待每一位學(xué)生,給予學(xué)生充足的實(shí)驗(yàn)參與時(shí)間,并引導(dǎo)學(xué)生積極表述自己的觀點(diǎn),保證綜合實(shí)驗(yàn)教學(xué)活動(dòng)開展的效果。第二,開展合作探究的活動(dòng),增強(qiáng)學(xué)生實(shí)際電路設(shè)計(jì)能力。嘗試性實(shí)驗(yàn)的方式能夠緩解學(xué)生的心理壓力,教師需要給與學(xué)生更多的鼓勵(lì)與支持。素質(zhì)教育理念下不僅僅關(guān)注學(xué)生知識(shí)與技能的掌握情況,同時(shí)也比較關(guān)注學(xué)生合作意識(shí)、探究意識(shí)以及創(chuàng)新意識(shí)的培養(yǎng)。[3]素質(zhì)教育理念下,教師可以通過開展合作探究活動(dòng)的方式,將2名到4名學(xué)生劃分為一個(gè)小組,并且通過任務(wù)、資料查找、實(shí)驗(yàn)驗(yàn)收以及撰寫實(shí)驗(yàn)報(bào)道等方式,不斷提升學(xué)生的實(shí)際工程能力,使各項(xiàng)數(shù)字電路設(shè)計(jì)實(shí)踐教學(xué)活動(dòng)能夠更加貼近于科研項(xiàng)目研究流程,貼近于學(xué)生的實(shí)際生活。[4]比如教師可以為學(xué)生布置“編寫出租車計(jì)費(fèi)器、電梯控制器以及自動(dòng)售貨機(jī)”的相關(guān)任務(wù)。學(xué)生通過分組合作的方式,每一位學(xué)生負(fù)責(zé)完成一個(gè)項(xiàng)目,學(xué)生需要通過小組合作的方式,在規(guī)定的時(shí)間內(nèi)完成項(xiàng)目合作。學(xué)生需要明確分工每一個(gè)人的工作,通過方案的設(shè)計(jì)、可行性的論證以及相關(guān)資料的查找等方式,完成實(shí)驗(yàn)設(shè)計(jì)工作。在實(shí)驗(yàn)參與的過程中,形成一定的合作意識(shí)與探究能力,學(xué)會(huì)合作、學(xué)會(huì)分析。學(xué)生完成合作實(shí)驗(yàn)項(xiàng)目后,還需要進(jìn)行實(shí)驗(yàn)報(bào)告的撰寫,培養(yǎng)學(xué)生的邏輯分析能力。
四、結(jié)束語
信息化時(shí)代背景下,人才綜合實(shí)踐能力將會(huì)直接影響人才的綜合發(fā)展情況。教師可以通過基于課程教學(xué)的目標(biāo),循序漸進(jìn)開展綜合實(shí)驗(yàn)活動(dòng);開展合作探究的活動(dòng),增強(qiáng)學(xué)生實(shí)際電路設(shè)計(jì)能力等方式,將CDIO理念與數(shù)字電路設(shè)計(jì)實(shí)踐教學(xué)環(huán)節(jié)相互融合,為學(xué)生帶來全新的數(shù)字電路設(shè)計(jì)實(shí)踐學(xué)習(xí)體驗(yàn),使每一位學(xué)生都能夠在實(shí)驗(yàn)參與、實(shí)驗(yàn)設(shè)計(jì)的過程中,形成一定的綜合問題分析能力,真正體驗(yàn)實(shí)踐教學(xué)的價(jià)值,促進(jìn)學(xué)生的全面發(fā)展。
參考文獻(xiàn):
[1]郝勇靜,孟曉彩,謝娟,等.基于CDIO教育理念的工科物理化學(xué)課程教學(xué)改革與實(shí)踐[J].邯鄲職業(yè)技術(shù)學(xué)院學(xué)報(bào),2013,04(12):74-76.
[2]潘勁松.基于項(xiàng)目式教學(xué)的《高等數(shù)學(xué)》課程整體設(shè)計(jì)研究——以湖南機(jī)電職業(yè)技術(shù)學(xué)院電類專業(yè)為例[J].職業(yè)時(shí)空,2016,01(23):43-46+55.
[3]李道真,吳曉娟,郝艷榮,等.本科模擬電路理論及實(shí)驗(yàn)課程教學(xué)內(nèi)容和教學(xué)方法的改革與實(shí)踐[J].華北航天工業(yè)學(xué)院學(xué)報(bào),2011,S1(13):90-91+97.
篇9
電路設(shè)計(jì)
當(dāng)有了產(chǎn)品的排布規(guī)劃后,電子工程師開始設(shè)計(jì)電路。一般可以把電路分為兩個(gè)主要的組成部分:基本電路和重要電路。基本電路包含一些被動(dòng)組件和主動(dòng)組件。被動(dòng)組件包括電阻、電容、電感、二極管等;主動(dòng)組件包含專用集成電路(ASIC),中央處理器(CPU),控制器(Controller),內(nèi)存(Memory),傳感器(Sensor),驅(qū)動(dòng)器(driver),邏輯芯片(LogicIC),電源芯片(PowerIC)等。重要電路包含前端(AFE)、中央處理器專用集成電路(CPUASIC)、固件內(nèi)存(FirmwareMemory)、接口(Interface)等。然后將需要要完成的電路分割成多個(gè)單元,并畫出一個(gè)能表示各個(gè)單元功能的原理框圖。在設(shè)計(jì)過程中,要考慮到可靠、經(jīng)濟(jì)等因素,對(duì)每一單元電路進(jìn)行可行性分析和優(yōu)缺點(diǎn)分析。
在設(shè)計(jì)電路的過程中需要注意以下幾點(diǎn):
(1)詳細(xì)擬定單元電路的性能指標(biāo)以及與前后級(jí)之間的關(guān)系,分析電路的組成形式。具體制作時(shí),可以模擬成熟的先進(jìn)電路,也可以進(jìn)行創(chuàng)新,但都必須保證性能要求。單元電路本身不僅要制作合理,各個(gè)單元之間也要互相配合,要注意各個(gè)部分的輸入信號(hào)、輸出信號(hào)以及控制信號(hào)之間的關(guān)系。
(2)組件的工作電流、電壓、頻率和功耗等參數(shù)應(yīng)能滿足電路指標(biāo)的要求。
(3)元器件的極限參數(shù)必須留有充裕量,一般應(yīng)大于額定值的1.5倍。
繪制電路圖
當(dāng)我們的電路設(shè)計(jì)完成后,機(jī)構(gòu)結(jié)構(gòu)部分的設(shè)計(jì)也已經(jīng)初步完成了,這樣接下來我們就要開始繪制電路圖了。繪制電路圖前需要一個(gè)布線控制圖(controldrawing)。件,哪個(gè)地方可以放多高的組件等訊息。有了布線控制圖(Controldrawing),再加上我們前面完成的系統(tǒng)框圖、各部分電路設(shè)計(jì)、器件選擇完成的基礎(chǔ)上,就可以進(jìn)行電路圖的繪制。電路繪制有很多的注意事項(xiàng),重點(diǎn)需要注意以下幾點(diǎn):
(1)布局合理、排列均勻。每一單位電路的組件盡量集中布置在一起。
(2)注意信號(hào)的流向。一般從輸入端或信號(hào)源開始,按照信號(hào)的流向依次排向各單元電路,而反饋通路的信號(hào)則與此相反。
(3)PCB板上的信號(hào)走線盡量不換層,數(shù)字器件和仿真器件要分開,盡量遠(yuǎn)離。
(4)貼片(SMT)或雙列直插(DIP)有極性元件的正極需統(tǒng)一方向,標(biāo)識(shí)清楚。PCB的每條路線(TRACE)都要有一個(gè)作為測試用的測試點(diǎn)(TESTPAD)。
(5)跟EMI工程師討論確定主要零件的擺放、power的規(guī)劃、高速訊號(hào)走線、模擬訊號(hào)的走線。這是因?yàn)榧词乖谡麄€(gè)PCB板中的布線完成得都很好,但由于電源、地線的考慮不周到而引起的干擾,會(huì)使產(chǎn)品的性能下降,有時(shí)甚至影響到產(chǎn)品的成功率,好的布局可增加生產(chǎn)速度,降低不良率,降低產(chǎn)品成本,提升產(chǎn)品競爭力。
硬體(H/W)和韌體(F/W)的配合
設(shè)計(jì)完成了還不夠,要讓各功能實(shí)現(xiàn)還需要韌體(F/W)的配合,H/W工程師必須了解如何控制自己所設(shè)計(jì)的機(jī)臺(tái),而控制的方式就要提供輸入輸出映射(IOMapping)給F/W工程師,讓F/W工程師知道怎么控制,IOMapping是指在專用集成電路(ASIC)上有可控制的IO端,且這些IO端口是由硬體工程師自己去定義要控制哪些部分。
篇10
引言
本次設(shè)計(jì)主要采用TI公司生產(chǎn)的32位浮點(diǎn)數(shù)字信號(hào)處理芯片TMS320VC33作為系統(tǒng)的主控芯片;采用Analog公司生產(chǎn)的16位模數(shù)轉(zhuǎn)換芯片AD976作為模數(shù)轉(zhuǎn)換芯片;采用Linear公司生產(chǎn)的八階開關(guān)電容式低通濾波器LTC1064-2作為硬件濾波芯片。本系統(tǒng)功能的實(shí)現(xiàn)主要分為兩個(gè)部分即:硬件電路設(shè)計(jì)和DSP軟件程序設(shè)計(jì)。
1. 硬件電路設(shè)計(jì)
考慮到系統(tǒng)功能的實(shí)現(xiàn),硬件電路設(shè)計(jì)主要分為四個(gè)部分即:信號(hào)前置處理和信號(hào)濾波處理、信號(hào)AD轉(zhuǎn)換、DSP功能實(shí)現(xiàn),計(jì)算機(jī)接口電路。系統(tǒng)框圖如圖1:
1.1 信號(hào)前置處理和濾波處理
信號(hào)前置主要是通過DG419二選一模擬開關(guān)對(duì)兩個(gè)量程的信號(hào)進(jìn)行切換選通,DG419的控制端由DSP直接控制,模擬信號(hào)通過DG419送給LF356運(yùn)算放大器,進(jìn)行信號(hào)的放大和一級(jí)濾波。這里用運(yùn)算放大器人為作了一個(gè)二階濾波器,將信號(hào)整形處理幅值為±10V的準(zhǔn)備供給AD的電壓信號(hào)。通過前置處理的模擬信號(hào)經(jīng)過DG419進(jìn)行選擇由DSP控制分成兩種情況即通過LTC1064-2濾波通道或者直接送給AD976,通過LTC1064-2的將前置信號(hào)的高頻濾掉,濾波器的轉(zhuǎn)折頻率由DSP提供的濾波時(shí)鐘控制。
1.2 模數(shù)轉(zhuǎn)換
信號(hào)經(jīng)前置整形和濾波后的±10V電壓信號(hào)送到模數(shù)轉(zhuǎn)換器AD976,一個(gè)模擬量經(jīng)AD轉(zhuǎn)換后產(chǎn)生16位數(shù)字電壓量,在轉(zhuǎn)換結(jié)束后由AD976的BUSY管腳的狀態(tài)給后面的74HC574一個(gè)鎖存脈沖,將16位數(shù)字量信號(hào)鎖存到74HC574上,等待DSP來讀取數(shù)據(jù)。這里面AD976的轉(zhuǎn)換及前置DG419的信號(hào)選通都是由DSP主控的,從時(shí)間上能夠保證讀取AD轉(zhuǎn)換后的有效數(shù)據(jù)。
1.3 DSP功能實(shí)現(xiàn)
TMS320VC33是TI公司生產(chǎn)的高性能浮點(diǎn)數(shù)字信號(hào)處理芯片,根據(jù)DSP芯片本身的特點(diǎn)需要考慮幾個(gè)方面:
(1) 電源供給
DSP本身使用3.3V工作電壓,使用1.8V鎖向環(huán)電壓,需要采用TI公司推薦的電源芯片TPS767D318將+5V電源電壓轉(zhuǎn)換成3.3V和1.8V。
(2) 晶振產(chǎn)生電路
根據(jù)使用的晶振不同采用的晶體振蕩起振電阻和電容不同,這里采用10M晶振,起振電阻和電容分別選用470歐姆和15pF電容。
(3) 鎖向環(huán)電路
鎖向環(huán)需要采用推薦電路,注意用的電阻分別為100歐姆和103電容。
(4) 電平轉(zhuǎn)換電路
由于DSPVC33只能接受3.3V電平信號(hào),因此需要用電平轉(zhuǎn)換芯片74LVT245進(jìn)行電平轉(zhuǎn)換,同時(shí)考慮對(duì)74LVT245的讀寫邏輯操作。
1.4 計(jì)算機(jī)接口電路設(shè)計(jì)
計(jì)算接口電路框圖如圖2所示。
計(jì)算機(jī)接口電路主要完成計(jì)算機(jī)與DSP通信的功能。計(jì)算機(jī)通過給DSP一個(gè)硬件中斷向DSP發(fā)送數(shù)據(jù),當(dāng)DSP響應(yīng)硬件中斷后通過程序?qū)⒅袛嗲宄S?jì)算機(jī)通過邏輯控制數(shù)據(jù)判斷FIFO狀態(tài),決定讀取FIFO數(shù)據(jù)的時(shí)間。這樣完成了DSP與上位計(jì)算機(jī)的接口。
2. DSP軟件程序設(shè)計(jì)
DSP軟件程序設(shè)計(jì)主要完成發(fā)送通道選擇采樣時(shí)鐘、濾波時(shí)鐘;采集AD數(shù)據(jù);處理AD數(shù)據(jù)(包括數(shù)字濾波和數(shù)據(jù)整理);保存數(shù)據(jù)到FIFO存儲(chǔ)器;處理硬件中斷。DSP軟件程序流程圖如圖3、圖4、圖5所示。
熱門標(biāo)簽
電路設(shè)計(jì)論文 電路原理論文 電路實(shí)訓(xùn)總結(jié) 電路設(shè)計(jì) 電路 電路故障 電路技術(shù)原理 電路安全教育 電路基礎(chǔ)教學(xué) 電路技術(shù) 心理培訓(xùn) 人文科學(xué)概論